Pull to refresh
63
0.2

Пользователь

Send message

Они оттрасированный дизайн в json хранят? Интересно посмотреть на внутренности, можете выложить (output/out-pnr.json )?

Сколько там лет назад Голунова чудом не посадили?

А в случае отказа от медосвидетельствования просто забиваем его жезлами. Т.к. права не отобрать.

Программисты уже радостно потирают руки - сколько работы у них будет с массовым внедрением принципиально новых архитектур.

А вы посмотрите на современную "военку". Воевать 15МГц против 2ГГц системы на кристалле - это как идти в штыковую атаку на роту пулеметчиков.

Вспомнилось "Жир просто уходит!" (Doctor Who S04E01)

Как раз недавно обновил версию либры и ушли дикие тормоза при открытии-сохранении больших таблиц. То что раньше требовало почти минуты - теперь секунды две.

Давеча захотел себе купить шило в форм-факторе шестигранной биты. И не нашел - нет таких. Может кто встречал?

Если не смотреть на полдела, то в конце покойника намажут и будет как живой. См. экспертиза скрытых работ.

Просто хочу поделиться внезапным (для себя) открытием: пингу можно указать (ключ -I ) какой физический интерфейс использовать независимо от адреса узла назначения.

Видимо как-то так:

use ieee.numeric_std.all;

...

architecture rtl of Ripple_Adder is

signal sum : unsigned(4 downto 0);

begin

sum <= unsigned('0' & A) + unsigned('0' & B) + unsigned("0000" & Cin);

S <= std_logic_vector(sum(3 downto 0));

Cout <= sum(4);

end rtl;

Если не нравятся кастинги - можно

  1. использовать пакет numeric_std_unsigned, но лично я его идейно не принял;

  2. std_logic_vector в entity заменить на unsigned, но это вопрос согласования типов интерфейсов в проекте.

Кто не рискует - тот не отдыхает в больнице!

Когда автор то ли сам не понимает, то ли намеренно лжетвводит в заблуждение в самом начале, как-то читать дальше становится не интересно. Verilog действительно более лаконичен, но приведенные примеры на рис 4-5 используют разные методики описания, сравнивать которые по объему бессмысленно.

Я каждый день наблюдаю психологию толпы на примере пешеходного перехода. Сложный перекресток, потоки машин с разных направлений. Между ними есть перерыв, когда можно перейти спокойным шагом, но без промедления (на красный, естественно). Толпу можно разбить на четыре группы:

1) Лидеры (душнилы, ходящие тут уже 10 лет) - точно знают что им нужно и идут в точно отведенный момент времени;

2) Быстрые и сообразительные - начинают идти чуть позже глядя на первых. Тоже успешно проходят;

3) Бездумная толпа. Медленно соображает и не понимает что и зачем делает. Вылезает на дорогу из стадного чувства. В итоге перейти не успевает и ее отсекает поток машин встречного направления. А потом сзади подпирает поток попутных;

4) Созерцающие - ждут и переходят на зеленый.

Уверен, что на какой-нибудь бирже все происходит точно так же. В итоге, усредненное поведение толпы занятно, но во многих ситуациях не актуально, т.к. дает картину апостериорно.

PS Шойгу, где в редакторе комментариев нумерация списков? В старом дизайне была, здесь - нет. Значок wysiwyg серый

Сегодня ваши данные утекли 3 раза, получите положенные 30 копеек в кассе.

Зря начинку волновки убрал. Было бы очень полезно в случае прихода людей в серых костюмах.

Перерывая вчера старые коробки обнаружил древнюю книжку (на русском!) про AS/400 и OS/400. Пустил слезу.

1
23 ...

Information

Rating
1,975-th
Location
Санкт-Петербург, Санкт-Петербург и область, Россия
Registered
Activity